8( :Ka-Ro electronics TX6Q-1110/-1130 Module on MB7 baseboard!karo,imx6q-tx6qfsl,imx6qchosenmemory,memory8aliases):corerxtx0rxtx1rxtx2rxtx3rxtx4rxtx5rxtx6rxtx7spba disabledecspi@02008000 !fsl,imx6q-ecspifsl,imx51-ecspi8@ ppipgper   rxtx disabled default spi@0!spidev8"7spi@1!spidev8"7ecspi@0200c000 !fsl,imx6q-ecspifsl,imx51-ecspi8@  qqipgper   rxtx disabledecspi@02010000 !fsl,imx6q-ecspifsl,imx51-ecspi8@ !rripgper   rxtx disabledecspi@02014000 !fsl,imx6q-ecspifsl,imx51-ecspi8@@ "ssipgper   rxtx disabledserial@02020000!fsl,imx6q-uartfsl,imx21-uart8@ ipgper   rxtxokay default4esai@02024000D!fsl,imx35-esai8@@ 3(vcorememextalfsysspba   rxtx disabledssi@02028000D!fsl,imx6q-ssifsl,imx51-ssi8@ . ipgbaud  % &rxtxUokay~~ssi@0202c000D!fsl,imx6q-ssifsl,imx51-ssi8@ / ipgbaud  ) *rxtxU disabledssi@02030000D!fsl,imx6q-ssifsl,imx51-ssi8@ 0 ipgbaud  - .rxtxU disabledasrc@02034000!fsl,imx53-asrc8@@ 2kmemipgasrck_0asrck_1asrck_2asrck_3asrck_4asrck_5asrck_6asrck_7asrck_8asrck_9asrck_aasrck_basrck_casrck_dasrck_easrck_fspba`      rxarxbrxctxatxbtxcdrokayspba@0203c0008@ecspi@02018000 !fsl,imx6q-ecspifsl,imx51-ecspi8@ #ttipgper   rxtx disabledvpu@02040000!fsl,imx6q-vpucnm,coda9608  bitjpegperahba aipstz@0207c0008@pwm@02080000!fsl,imx6q-pwmfsl,imx27-pwm8@ S>ipgperokay defaultpwm@02084000!fsl,imx6q-pwmfsl,imx27-pwm8@@ T>ipgperokay defaultpwm@02088000!fsl,imx6q-pwmfsl,imx27-pwm8@ U>ipgper disabledpwm@0208c000!fsl,imx6q-pwmfsl,imx27-pwm8@ V>ipgper disabledflexcan@02090000!fsl,imx6q-flexcan8 @ nlmipgper disabled defaultflexcan@02094000!fsl,imx6q-flexcan8 @@ onoipgperokay defaultgpt@02098000!fsl,imx6q-gptfsl,imx31-gpt8 @ 7wxipgperosc_pergpio@0209c000!fsl,imx6q-gpiofsl,imx35-gpio8 @BCo   t ||gpio@020a0000!fsl,imx6q-gpiofsl,imx35-gpio8 @DEo@7#,gpio@020a4000!fsl,imx6q-gpiofsl,imx35-gpio8 @@FGo0E$-gpio@020a8000!fsl,imx6q-gpiofsl,imx35-gpio8 @HIo0~ Wwwgpio@020ac000!fsl,imx6q-gpiofsl,imx35-gpio8 @JKoPU"5g ssgpio@020b0000!fsl,imx6q-gpiofsl,imx35-gpio8 @LMop6 VBBgpio@020b4000!fsl,imx6q-gpiofsl,imx35-gpio8 @@NOo0   77kpp@020b8000!fsl,imx6q-kppfsl,imx21-kpp8 @ R> disabled default,tROPQKLMGHIwdog@020bc000!fsl,imx6q-wdtfsl,imx21-wdt8 @ Pwdog@020c0000!fsl,imx6q-wdtfsl,imx21-wdt8 @ Q disabledccm@020c4000!fsl,imx6q-ccm8 @@WXdanatop@020c8000#!fsl,imx6q-anatopsysconsimple-bus8 $16regulator-1p1!fsl,anatop-regulatorvdd1p1B@O/AVk~ 5regulator-3p0!fsl,anatop-regulatorvdd3p0*0/ AVk~( 3@regulator-2p5!fsl,anatop-regulatorvdd2p5"U)0/0AVk~ +xregulator-vddcore!fsl,anatop-regulatorvddarm  /@AVpk~  ffregulator-vddpu!fsl,anatop-regulatorvddpu  /@A Vpk~  regulator-vddsoc!fsl,anatop-regulatorvddsoc  /@AVpk~  ggtempmon!fsl,imx6q-tempmon 1$usbphy@020c9000"!fsl,imx6q-usbphyfsl,imx23-usbphy8  ,500usbphy@020ca000"!fsl,imx6q-usbphyfsl,imx23-usbphy8  -544snvs@020cc000#!fsl,sec-v4.0-monsysconsimple-mfd8 @snvs-rtc-lp!fsl,sec-v4.0-mon-rtc-lp@:4snvs-poweroff!syscon-poweroff@:8G`` disabledepit@020d00008 @ 8epit@020d40008 @@ 9src@020d8000!fsl,imx6q-srcfsl,imx51-src8 @[`Mgpc@020dc000!fsl,imx6q-gpc8 @oYZ >ipgpgcpower-domain@08Zpower-domain@18Zn0zJy  iomuxc-gpr@020e0000'!fsl,imx6q-iomuxc-gprsysconsimple-mfd88mux-controller !mmio-mux{8 ((   ipu1_csi0_mux !video-mux port@08endpointQ!EEport@18endpointport@28endpointQ"QQipu2_csi1_mux !video-mux port@08endpointQ#HHport@18endpointport@28endpointQ$\\iomuxc@020e0000!fsl,imx6q-iomuxc8@ default%hoggrpH%%audmuxgrp`000}}disp0grp-1\p`tdxh|tx|disp0grp-2\p`tdxh|ptx|ecspi1grpT$  edt-ft5x06grpHAAenetgrpHLX66enet-mdiogrp0@::etnphy-pwrgrpuuflexcan1grp0@Dflexcan2grp0flexcan-xcvrgrppvvgpminandgrph i2c1grp0@@==i2c3grp0,@0@>>kppgrp(84<  lcd0-pwrgrpxxlcd-pwrgrpyypwm1grp(pwm2grp$tsc2007grpCCuart1grp0 uart1_rtsctsgrp0 uart2grp08 , (MMuart2_rtsctsgrp00 $4NNuart3grp0 0OOuart3_rtsctsgrp0 ,PPusbh1-vbusgrpzzusbotggrppY33usbotg-vbusgrp@{{usdhc1grpH0pP8p@(p<$pL4pD,pp;;usdhc2grpX@pT<pThpL`pPdp\Dpp<<user-ledgrptteetigrpDDldb!fsl,imx6q-ldbfsl,imx53-ldbMokay@!"'()*8di0_plldi1_plldi0_seldi1_seldi2_seldi3_seldi0di1lvds-channel@08okayspwgport@08endpointQ&UUport@18endpointQ'YYport@28endpointQ(__port@38endpointQ)ccdisplay-timings*hsd100pxn1q@( <* 4ANXVGAq0  `*4ANX**nl12880bc20q;_ 22 <* 4ANXlvds-channel@18okayspwgport@08endpointQ+VVport@18endpointQ,ZZport@28endpointQ-``port@38endpointQ.dddisplay-timings/hsd100pxn1q@( <* 4ANXVGAq0  `*4ANXnl12880bc20q;_ 22 <* 4ANX//dcic@020e40008@@ |dcic@020e80008@ }sdma@020ec000!fsl,imx6q-sdmafsl,imx35-sdma8@ ipgahbhimx/sdma/sdma-imx6q.bin  aips-bus@02100000!fsl,aips-bussimple-bus8caam@2100000 !fsl,sec-v4.08  memaclkipgemi_slowjr0@1000!fsl,sec-v4.0-job-ring8 ijr1@2000!fsl,sec-v4.0-job-ring8  jaipstz@0217c0008@usb@02184000!fsl,imx6q-usbfsl,imx27-usb8@ +01okay2 default3 peripheralusb@02184200!fsl,imx6q-usbfsl,imx27-usb8B (41hostokay5usb@02184400!fsl,imx6q-usbfsl,imx27-usb8D )1host disabledusb@02184600!fsl,imx6q-usbfsl,imx27-usb8F *1host disabledusbmisc@02184800!fsl,imx6q-usbmisc8H11ethernet@02188000!fsl,imx6q-fec8@  v w uuipgahbptpenet_outokay default6'rmii 07@8K9mdioethernet-phy@0!ethernet-phy-ieee802.3-c228 default: 788mlb@0218c0008@$5u~usdhc@02190000!fsl,imx6q-usdhc8@  ipgahbperVokay default;` i7rusdhc@02194000!fsl,imx6q-usdhc8@@  ipgahbperVokay default<` i7rusdhc@02198000!fsl,imx6q-usdhc8@  ipgahbperV disabledusdhc@0219c000!fsl,imx6q-usdhc8@  ipgahbperV disabledi2c@021a0000!fsl,imx6q-i2cfsl,imx21-i2c8@ $}okay default=qrtc@68!dallas,ds13398hi2c@021a4000!fsl,imx6q-i2cfsl,imx21-i2c8@@ %~ disabledi2c@021a8000!fsl,imx6q-i2cfsl,imx21-i2c8@ &okay default>qsgtl5000@0a !fsl,sgtl50008 ?@edt-ft5x06@38!edt,edt-ft5x0688 defaultAB 4 tsc2007@48 !ti,tsc20078H defaultC eeti@04!eeti,egalax_ts8 defaultD  romcp@021ac0008@mmdc@021b0000!fsl,imx6q-mmdc8@mmdc@021b40008@@weim@021b8000!fsl,imx6q-weim8@  disabledocotp@021bc000!fsl,imx6q-ocotpsyscon8@tzasc@021d00008@ ltzasc@021d40008@@ maudmux@021d8000"!fsl,imx6q-audmuxfsl,imx31-audmux8@okaymipi@021dc000!fsl,imx6-mipi-csi28@dea dphyrefpix disabledport@18endpointQE!!port@28endpointQFRRport@38endpointQG[[port@48endpointQH##mipi@021e00008@ disabledportsport@08endpointQITTport@18endpointQJXXport@28endpointQK^^port@38endpointQLbbvdoa@021e4000!fsl,imx6q-vdoa8@@ serial@021e8000!fsl,imx6q-uartfsl,imx21-uart8@ ipgper   rxtxokay defaultMN4serial@021ec000!fsl,imx6q-uartfsl,imx21-uart8@ ipgper   rxtxokay defaultOP4serial@021f0000!fsl,imx6q-uartfsl,imx21-uart8@ ipgper   rxtx disabledserial@021f4000!fsl,imx6q-uartfsl,imx21-uart8@@ ipgper  ! "rxtx disabledipu@02400000!fsl,imx6q-ipu8@@ busdi0di1port@08hhendpointQQ""port@18iiendpointQRFFport@28lldisp0-endpointhdmi-endpointQSmipi-endpointQTIIlvds0-endpointQU&&lvds1-endpointQV++port@38mmdisp1-endpointhdmi-endpointQWmipi-endpointQXJJlvds0-endpointQY''lvds1-endpointQZ,,sram@00900000 !mmio-sram8sata@02200000!fsl,imx6q-ahci8 @ 'isatasata_refahbokaygpu@02204000 !vivante,gc8 @@  y buscorea rripu@02800000!fsl,imx6q-ipu8@ busdi0di1 disabledport@08jjendpointQ[GGport@18kkendpointQ\$$port@28nndisp0-endpointhdmi-endpointQ]mipi-endpointQ^KKlvds0-endpointQ_((lvds1-endpointQ`--port@38oohdmi-endpointQa  mipi-endpointQbLLlvds0-endpointQc))lvds1-endpointQd..cpuscpu@0!arm,cortex-a9,cpu8e(Otx2   (Otx2   l(h)armpll2_pfd2_396msteppll1_swpll1_sys,f7Agcpu@1!arm,cortex-a9,cpu8ecpu@2!arm,cortex-a9,cpu8ecpu@3!arm,cortex-a9,cpu8ecapture-subsystem!fsl,imx-capture-subsystemLhijkdisplay-subsystem!fsl,imx-display-subsystemLlmnogpu-subsystem!fsl,imx-gpu-subsystem Rpqrgpio-keys !gpio-keyspower XPower Button s^tleds !gpio-ledsuser XHeartbeat defaultt  iheartbeatregulator-3v3-etn!regulator-fixed3V3_ETN2Z2Z defaultu 99regulator-2v5!regulator-fixed2V5&%&%??regulator-3v3!regulator-fixed3V32Z2Zregulator-can-xcvr!regulator-fixed CAN XCVR2Z2Z defaultv wregulator-lcd0-pwr!regulator-fixed LCD0 POWER2Z2Z defaultx regulator-lcd1-pwr!regulator-fixed LCD1 POWER2Z2Z defaulty regulator-usbh1-vbus!regulator-fixed usbh1_vbusLK@LK@ defaultz 55regulator-usbotg-vbus!regulator-fixed usbotg_vbusLK@LK@ default{ |22sound4!karo,imx6qdl-tx6qdl-sgtl5000fsl,imx-audio-sgtl5000sgtl5000-audio default}~8MIC_INMic JackMic JackMic BiasHeadphone JackHP_OUTbacklight0!pwm-backlight n  !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcd 2backlight1!pwm-backlight n  !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcd 2 #address-cells#size-cellsmodelcompatibledevice_typeregethernet0can0can1gpio0gpio1gpio2gpio3gpio4gpio5gpio6i2c0i2c1i2c2ipu0mmc0mmc1mmc2mmc3serial0serial1serial2serial3serial4spi0spi1spi2spi3usbphy0usbphy1ipu1spi4lcdif_23bit_pins_alcdif_24bit_pins_apwm0pwm1reg_can_xcvrstk5ledusbotgsdhc0sdhc1displaylvds0lvds1#clock-cellsclock-frequencylinux,phandleinterrupt-parentrangesinterruptsinterrupt-names#dma-cellsdma-channelsclocksreg-namesclock-namesdmasdma-namesstatuspinctrl-namespinctrl-0nand-on-flash-bbtfsl,no-blockmark-swapgprremote-endpointpower-domains#interrupt-cellsinterrupt-controllercache-unifiedcache-levelarm,tag-latencyarm,data-latencyarm,shared-overridebus-rangenum-lanesinterrupt-map-maskinterrupt-mapcs-gpiosspi-max-frequencyuart-has-rtscts#sound-dai-cellsfsl,fifo-depthfsl,asrc-ratefsl,asrc-widthresetsiram#pwm-cellsxceiver-supplygpio-controller#gpio-cellsgpio-rangeslinux,keymapregulator-nameregulator-min-microvoltregulator-max-microvoltregulator-always-onanatop-reg-offsetanatop-vol-bit-shiftanatop-vol-bit-widthanatop-min-bit-valanatop-min-voltageanatop-max-voltageanatop-enable-bitanatop-delay-reg-offsetanatop-delay-bit-shiftanatop-delay-bit-widthregulator-enable-ramp-delayfsl,tempmonfsl,tempmon-datafsl,anatopregmapvalue#reset-cells#power-domain-cellspower-supply#mux-control-cellsmux-reg-masksmux-controlsfsl,pinsfsl,data-mappingfsl,data-widthnative-modehactivevactivehback-porchhfront-porchvback-porchvfront-porchhsync-lenvsync-lenhsync-activevsync-activede-activepixelclk-activefsl,sdma-ram-script-namefsl,sec-erafsl,usbphyfsl,usbmiscahb-burst-configtx-burst-size-dwordrx-burst-size-dwordvbus-supplydr_modedisable-over-current#index-cellsinterrupts-extendedphy-modephy-reset-gpiosphy-handlephy-supplybus-widthno-1-8-vcd-gpiosfsl,wp-controllerVDDA-supplyVDDIO-supplywake-gpioswakeup-sourceti,x-plate-ohmswakeup-gpiosfsl,weim-cs-gprnext-level-cacheoperating-pointsfsl,soc-operating-pointsclock-latencyarm-supplypu-supplysoc-supplyportscoreslabellinux,codelinux,default-triggergpioenable-active-highregulator-boot-onssi-controlleraudio-codecaudio-routingmux-int-portmux-ext-portpwmsbrightness-levelsdefault-brightness-level